Questions tagged [clock-gating]

The tag has no usage guidance.

Filter by
Sorted by
Tagged with
2 votes
1 answer
355 views

On a method of clock gating with a latch

My textbook (CMOS VLSI Design: A Circuits and Systems Perspective, 4th edition, by Weste and Harris) gives the following discussion of a particular method of clock gating into some digital block. I ...
EE18's user avatar
  • 1,131
1 vote
0 answers
581 views

Why AND-Latch based clock gate (ICG cell) is not reliable only when driving negative edge triggered FFs?

I'm reading the paper "A Novel Glitch-Free Integrated Clock Gating Cell for High Reliability" https://ieeexplore.ieee.org/document/8702507 It says that with AND-Latch based ICG there could ...
spaul's user avatar
  • 249
2 votes
1 answer
266 views

Is it acceptable to gate an SPI clock (sck) to disable it when ss is high? (in an FPGA)

As far as I know, gating a clock in an FPGA is a very bad design practice because it can lead to clock skew and higher power consumption. This is specially true for the system's main clock, but what ...
Martel's user avatar
  • 1,239
1 vote
1 answer
461 views

Why for setup check AND gates use rising edge, while OR gates use falling edge and vice versa for hold check in clock gating?

I have two questions on set_clock_gating_check SDC command. Why for setup check, AND, NAND gates use rising edge, while OR, NOR gates use falling edge ? Why for hold check, AND, NAND gate use ...
kevin998x's user avatar
  • 413
0 votes
1 answer
943 views

Why do we use a gray encoded signal by 2 stage flip-flop in asynchronous FIFO to avoid race-condition issue? [duplicate]

In the FIFO design, to compare the rptr and wptr, we are feeding one signal into another clock domain. The rptr which is coming from the slow clock domain to faster one can be synchronized with sync ...
Carter's user avatar
  • 607
1 vote
1 answer
7k views

How to implement Clock Gating Style RTL into synthesis?

I'm studying to implement a Clock Gating in RTL. So I've followed as the below https://www.design-reuse.com/articles/23701/power-analysis-clock-gating-rtl.html ...
Carter's user avatar
  • 607
1 vote
1 answer
463 views

Glitches in clock gating cell

how exactly does glitch happen for rising-edge and falling-edge ICG ? Why for the top version of rising-edge ICG, there is no X at the MSB of the latch output ? Note: TE signal is asynchronous to CLK,...
kevin998x's user avatar
  • 413
0 votes
2 answers
1k views

Confusion about when a JK flip flop is triggered

I started learning about latches and flip flops recently, and my understanding is that edge-triggered devices like flip flops ignore their inputs until the clock signal transitions from low to high or ...
Thomas.M's user avatar
  • 137
0 votes
3 answers
260 views

What is the very basic electronic component to generate pulse wave (high or low) we commonly see in digital?

Very common we hear ON/OFF, HIGH/LOW, 1/0, or something similar in digital system. It is called binary. I would like to know what is the very basic component to generate such that binary system? I ...
AirCraft Lover's user avatar
1 vote
1 answer
310 views

Clock gating decreasing area

I read in the lecture slides to a VLSI course that clock gating also can lead to a decreased chip area size. In my understanding, clock gating decreases the chip size as this technique requires an ...
who93's user avatar
  • 15
2 votes
2 answers
410 views

Correct terminology for 'clock' that doesn't oscillate?

What would be the correct term for a clock input that isn't made to oscillate per se? In an attempt to only allow input A to have any effect on a circuit at a chosen time, one could AND it with ...
Rustang's user avatar
  • 135
1 vote
1 answer
67 views

Is a good practice assigning clk to a signal before component instanciation in FPGAs?

I am working with VHDL for Xilinx FPGAs and I am trying to create some hierarchical components. When instantiating a component B inside another component A, what clk is expected to pass to the ...
Mark C. F. Sousa's user avatar
3 votes
1 answer
426 views

Will BUFGCE get optimized to FDCE ?

For a simple logic implementation of clock gating I used BUFGCE. When went through the schematics I noticed logic is implemented using FDCEs. But CE of FDCE are not used. My question is why BUFGCE ...
tollin jose's user avatar
  • 3,142