Questions tagged [intel-fpga]

A popular manufacturer of FPGAs (Field Programmable Gate Arrays) and CPLDs (Complex Programmable Logic Devices).

Filter by
Sorted by
Tagged with
1 vote
0 answers
51 views

Two-Terminal Passive Analog Component Tester Using the DE1-SoC Development Board

I am having hard time understanding this problem. I have to draw a Level 1 block diagram for this system. I really appreciated it if somebody can help me. I am new to DE1-Soc. use the Intel DE1-SoC ...
0 votes
1 answer
167 views

How to have an in-system check in an FPGA based system that it has been reset?

I have a system based on Altera's MAX10 device that is doing the following tasks: receives the data and stores it on an on-chip flash memory only once. reads all the data from on-chip flash, stores ...
3 votes
1 answer
1k views

Altera Cyclone II Quartus II JTAG Programming Error

I'm trying to program a Cyclone II I bought here using Quartus II 13.0sp1 on Arch Linux. I'm trying to program it with a very simple Verilog program with three inputs and two outputs and a few simple ...
0 votes
1 answer
459 views

Altera Cyclone IV clock-to-output delay

Trying to find what is the clock-to-output delay for registers inside EP4CE6E22C6. Have looked through the 3 Cyclone IV handbooks searching by delay but have not found any values specified. All the ...
5 votes
3 answers
827 views

Use ancient Altera MAX II board in modern environment

Years ago (in 2004) my university got an Altera MAX-II devboard, but nobody used it. Now it's me who must teach students FPGA programming, but I still cannot get the board programmed. I faced the ...
3 votes
1 answer
2k views

Altera Cyclone IV PLL: What limits the available multiplication/division factor values

Altera Cyclone IV EP4CE6E22 with a 50 MHz input clock. I want to get 24 MHz out of the ALTPLL megafunction. The requested multiplication/division settings are 12/25 but actual settings turn out to be ...
1 vote
1 answer
445 views

Quartus II selected a signal as a clock in combinational circuit

...
0 votes
1 answer
350 views

Intel max 10 dimensions - datasheet & BSDL mismatch

TL;DR: The BSD file from Intel doesn't match the datasheet. What are the right dimensions? BSD: https://www.intel.com/content/dam/altera-www/global/en_US/others/support/devices/bsdl/10M02SCM153.bsd ...
0 votes
1 answer
1k views

How can I connect a USB keyboard to a DE1-SoC?

I recently got a DE1-SoC development board from Intel and I wanted to use it to instantiate a computer design I created while following along the Nand2Tetris course on Coursera. Unfortunately, this ...
-1 votes
2 answers
341 views

Can I shield noise inside an FPGA with a ground plane?

I am routing some external signals through an Altera FPGA and noise is coupling into the signals from something else in the FPGA. I have an idea to physically distance the signal route from the rest ...
-2 votes
1 answer
533 views

Error (10327): VHDL error at clkdivider.vhd(27): can't determine definition of operator ""not"" -- found 0 possible definitions

I am still a beginner and I keep getting this error, can anyone help pls? ...
0 votes
1 answer
340 views

Intel Altera MAX 10 DEV KIT Interface - Can a RS232 6 pin PMOD module by Digilent be used seamlessly with MAX 10 for PC-FPGA UART communication?

I am planning to either purchase a MAX 10 or a DE2-115 dev kit to implement communication via both ethernet and RS-232. DE2-115 has both ports soldered onto the board, but MAX-10 has 2 ethernet ports ...
1 vote
1 answer
100 views

How does FPGA knows the configuraton file is present in Flash memory during bootup?

Which areas in flash memory are scanned during bootup to make sure that there is configuration file present in the external flash memory?
0 votes
1 answer
1k views

Problem FIFO in the implementation (VHDL)

I was working in that for the past five days and I don't know what happened. I must implement a FIFO to send some information, I attach the FIFO that I use. As you can see in the code, this FIFO using ...
0 votes
0 answers
4k views

How to #include files in other folders in Eclipse IDE?

Here is the directory structure of a project I have downloaded: The problem is that when I compile I keep getting fatal error message that say that the specific header file does not exist. For ...
1 vote
1 answer
624 views

Can Nios II read addresses in increments of 1 or only increments of 4?

There is some confusion here. I think that the Nios II having 32 bit data bus. Therefore, it is not capable of individual byte addressing. Therefore, the address bus increases as 0, 4, 8 e.t.c and not ...
1 vote
1 answer
116 views

register enable line usage in `case` block (verilog synthesis for altera cpld)

I have the following in a verilog design aimed at an altera CPLD (currently targeting EPM240, although the target device isn't set in stone): ...
1 vote
1 answer
1k views

How does one read a FIFO outside Qsys system using Nios II?

There is a FIFO block that has Avalon interface compatible with Qsys that can be used in Qsys systems. However, in my case there is an external block that generates data that is to be read by a Nios ...
0 votes
1 answer
300 views

What is the minimal schematic (needed capactiors/resistors) to use FPGA Altera Cyclone 4 EP4CE6E22C8N? [closed]

I can't find any information about how wire the Cyclone 4 FPGA. I do not want to use development boards.
3 votes
1 answer
2k views

VHDL - Subtype or type has null range

What is the meaning of the following warning (raised by Quartus)? ...
0 votes
1 answer
679 views

Altera Max10 altPLL slack

Regarding a MAX10 board. The whole design inside the MAX10 is clocked from a single clock using verilog's always@(posedge clockin). If connect directly a 80mhz clock to a Max10 input pin and define ...
2 votes
2 answers
489 views

Can the same net-list file be used for ASIC design flow as well as FPGA design flow?

I have mostly worked on front-end part and don't know much about back-end stuff. I have gone through the reading about the various abstraction levels of design flows of FPGAs and ASICs. I was ...
0 votes
1 answer
141 views

Nios 2 softcore IP

The SOPC board contains an Altera Stratix Processor, but the description mentions use of an Alteras NIOS II soft core IP. If its a soft core then why are we using a Stratix processor. PIC from: ...
0 votes
1 answer
371 views

Incomprehensible FPGA error

I try and upload my design, which worked previously, using quartus prime 17.1 to an Altera DE2-115. It fails like this: ...
0 votes
1 answer
694 views

Can I connect all my components in my top level entity

I have finished writing a project with separate VHDL files. Most of the components have connections directly to the FPGA ( which I have instantiated and connected in the top level file) but a few do ...
4 votes
2 answers
8k views

Quartus II: Where are the worst-case paths?

In the Quartus II settings (under TimeQuest timing analyser), I have checked the Report worst-case paths during compilation checkbox. However, I do not see any ...
0 votes
2 answers
1k views

in what order does a VHDL program run in an FPGA

I am trying to wrap my head around exactly what goes on in an FPGA when I program it, specifically using VHDL. I know all code outside processes run concurrently but what about processes in different ...
2 votes
2 answers
596 views

FPGA Jtag Hooking to User Logic

After some primer reading on jtag it looks to be a nifty means to test a FPGA logic design in a consistent and sustainable way. Let's use altera max 10 as an example. I've read this MAX 10 JTAG ...
0 votes
1 answer
204 views

Altera HDL design techniques

Some many years ago my teacher taught us to program Xilinx Spartan II development board. He taught us to think of the hardware element we wanted to invoke (logic gate, adder, shift register, etc) and ...
1 vote
1 answer
877 views

Is it possible to find the critical path in specific part of the design?

I have written RTL description of a circuit in VHDL which is hierarchical and I am using Altera Quartus II; my design meets timing. I had set 50MHz clock frequency (20ns period) constraint using ...
1 vote
2 answers
601 views

Altera Cyclone IV FPGA and jtag debugging

G'day All, Is there a method comparable to jtag debugging on a microcontroller (ATMEGA32) for the Cyclone IV family of FPGA? I am trying to debug my Verilog code so ideally I just want to be able to ...
-2 votes
2 answers
162 views

Trouble understanding timing simulations in Quartus?

I have tried my ALU on the functional simulation and I get the correct waveforms. However, I am confused about how to interpret the timing simulations. What causes the ripples in the carry_out, and ...
0 votes
1 answer
474 views

Is it possible to know what % of routing resources are used in an FPGA

FPGAs contain logic resources and routing resources to link them together. I think that, for a given number of logic blocks being used, there would be a % of routing resources being used that link ...
0 votes
1 answer
86 views

Why am I getting my result as a string of Zs in Quartus?

I am new to Quartus, and have been trying to test out my 32-bit ALU on Quartus 13.1. When I try the functional simulation, I get a string of Zs. The results for the individual components, like the ...
5 votes
1 answer
3k views

CDC Synchonisation primitives for an Altera FPGA

I am working on my first non-trival FPGA design and finally have a need for Clock Domain Crossing (CDC). There are multiple resources (amongst others) which discuss various architectures for CDC and ...
1 vote
1 answer
1k views

How do I implement a communications interface to an Altera FPGA?

I have a DE1-SoC with some data coming in through an A-D Card. After digital processing I want to transmit data to a host PC for records. I'm trying to figure out the easiest way to go about this. I'...
0 votes
2 answers
937 views

FPGA Internal Timing constraint failing

I'm currently trying to implement an IP-Core on a Cyclone V 5CSEBA6U23I7 FPGA-HPS System using Altera Quartus II and TimeQuest Analyzer. The Verilog code pasted below produces a timing problem, namely ...
2 votes
1 answer
677 views

What options exist to verify Avalon-MM slave component?

I am writing an Avalon-MM slave. It shall connect to Nios II as master device. What options exist to write testbench for it? I must be sure that an Avalon-MM master can correctly read/write it. I ...
5 votes
1 answer
2k views

Altera Cyclone V - Linux & FPGA interrupt handling

I need to propagate an interrupt from my custom FPGA IP core to the HPS system of a DE0_nano_SoC (Cyclone V HPS-FPGA architecture) and handle in Linux. I have googled quite a lot to confidently say ...
0 votes
1 answer
432 views

Can I learn VHDL on a CPLD device?

I need to learn and practice VHDL, so I wouldlike to buy a small FPGA dev board. I've found a board based on a MAX10 circuit with the exact form factor I need, but I've read this chip is a CPLD, not a ...
0 votes
1 answer
8k views

"expecting endmodule" error, can't understand why?

I'm trying to build a counter with a-sync reset, that will be shown on the 7-segment display on the fpga board. I saw a few posts about my problem: "near text "if"; expecting endmodule" But still ...
4 votes
3 answers
9k views

How to efficiently implement a single output pulse from a long input on Altera?

I have a fast clock and a switch called 'ready'. When the switch is flipped (ready goes HIGH), I would like the output pcEn to produce a pulse that lasts only for one clock cycle. pcEn will only ...
1 vote
1 answer
4k views

How to install Altera USB master driver for Windows 8 (64 bit)?

I am trying to connect Altera Stratix 4 board with my PC in which Quartus 11.0 is per-installed. But my PC is not detecting USB JTAG connection from the board. What I am guessing is that, this ...
2 votes
2 answers
96 views

FPGA Routing general criteria

I was implementing a simple circuit on an FPGA using Quartus (6 logical elements) and I noticed that the block containing the 6 cells is located near the perimeter. Is this a general criterion? The ...
0 votes
1 answer
261 views

On Cyclone II FPGAs can I apply voltage directly on input pins?

On Cyclone II FPGAs can I apply voltage directly on input pins, maybe taking it from a Vcc pin? Or should I use a resistor? The Altera DE2 board's schematics below looks like some input switches don'...
1 vote
2 answers
1k views

FPGA maximum frequency : limiting factor

I would like to know which in general may limit the maximum clock frequency of a circuit implemented in FPGA. In the specific case I am building some FIR filters using Quartus and simulating them on a ...
0 votes
1 answer
175 views

Power on configuration for MCU using CPLD and pin multiplexing

simulate this circuit – Schematic created using CircuitLab Suppose we have simple same here. The logic behind this is very simple. During MCU boot it tests pins CONF1 and CONF2 for data on it (...
0 votes
2 answers
355 views

How to direct input and output of circuit for multifunctional 4 bit calculator [closed]

I am trying to build a 4 bit calculator that can add subtract and multiply using a FPGA board (Altera DE2). I have an adder/subtractor and multiplier already built and functioning but need to know ...
0 votes
1 answer
960 views

FPGA, accessing data in RAM

I'm using an Altera Cyclone V DE1-SoC for an image processing project and to be able to do the "processing" on the FPGA, I thought I probably needed to store the image data on the FPGA first. So I ...
2 votes
1 answer
713 views

Altera's MAX10 remote update

I am going to implement a MAX10 remote update. Unfortunately, in Altera's documents i only see a NIOS implementation and everything looks very big and too complex. Also i already have a reliable ...

1 2 3
4
5
9