Questions tagged [ise]

Xilinx Integrated Software Environment (ISE) is a suite of design and analysis software for their range of CPLD and FPGA devices.

Filter by
Sorted by
Tagged with
1 vote
1 answer
214 views

What does an .ngc file do in Xilinx ISE?

A couple days ago we were given our last project assignment at the HDL course at my uni. Among the files provided was a .ngc file and we were told to place it inside the project folder. It is supposed ...
1 vote
1 answer
52 views

A NAND gate with propagation delay in VHDL

I want to design a NAND gate (\$t_{PLH}\$ = \$t_{PHL}\$ = 10ns) with VHDL. \$t_{PLH}\$ = Propagation delay low to high \$t_{PHL}\$ = Propagation delay high to low This is first code. ...
0 votes
0 answers
89 views

Problems in UART communication using Nexys 3 board

I am trying to send 1 byte of data from my Nexys 3 board to my PC using UART communication. The problem is that whenever I try to view the data on RealTerm no matter my input (hard coded into the code ...
2 votes
1 answer
306 views

Xilinx ISE on Debian 10+

I have been working for quite some time now with Xilinx ISE 14.7 on Debian development machines. Before the suggestion comes: We use a Virtex-6 FPGA for our research and have no option to switch to ...
3 votes
2 answers
12k views

Why does output register remain x in the waveform even when clock changes?

I am using ISE to write my first Verilog code. I wrote a counter: ...
2 votes
1 answer
142 views

ISE Design Suite simulation problem

I am new in Verilog language. I am trying to understand the basics. There is this question where the input is a 6-bit number named IN and the output is a 1-bit ...
3 votes
1 answer
156 views

Duplication of working PWM signal leads to unexpected behaviour

I am trying to control 13 different servos with my Spartan3 FPGA. For that, I need 13 independent PWM signals generated by my FPGA. The PWM module that I am using works perfectly fine when only one ...
1 vote
1 answer
239 views

Use SDC format for timing constraints on Xilinx CPLDs

Due to the chip shortage, I'm trying to perform timing analysis of an existing CPLD design for a number of alternative chips from different manufacturers. I have existing hardware description source ...
0 votes
1 answer
167 views

SDC constraint inside Xilinx ISE

Inside ISE timing constraint editor window, which following sub-section shall I use set_clock_group to solve the STA setup timing violation path #1 due to cross-clock signal ?
2 votes
1 answer
306 views

Combinatorial loop of SR latch

I implemented an SR latch in Verilog. module sr_latch( output Q, output P, input S, input R ); nor(P, S, Q); nor(Q, R, P); endmodule However, ...
1 vote
2 answers
278 views

U and the end of vector in iSIM

I am making first steps in VHDL and ISE (from Xilinx). I've tried to create a simple 16bit -> 32bit converter. Converter receives a compliment to 2 number (16bit, so it is 1 bit for sign and 15 bits ...
2 votes
1 answer
1k views

New design with XC9500XL CPLDs, is it already obsolete?

I am in the middle of a new design for which a relatively small amount of logic is needed. This is a change to a previous version in which discrete 3.3V logic parts were used. We decided to go a CPLD ...
0 votes
0 answers
98 views

In Xilinx ISE, why can't I rename the net of some of my ports?

In Xilinx ISE, most of the time, when I want to rename the net of a certain port, I have no problem. I right-click on the I/O marker, click Rename Port, and I get the window for Rename Net. However, ...
0 votes
1 answer
143 views

4 bit synchronous counter circuit that counts from 1 to 6

I'm having trouble answering this question, it is for my laboratory. My online lab sessions only discussed how to answer it briefly. I am not sure how to go from here, I've filled in the K-map with ...
0 votes
2 answers
333 views

Verilog latch occurring with instantiating modules with in a generate statement

I am trying to create a register file in Verilog. To do this, I am instantiating multiple instances of a register module I designed in a generate statement. Each module uses a different input and ...
1 vote
1 answer
688 views

Using VHDL with the Mojo V3 FPGA

I was just wondering if there's any way for me to write VHDL code, that I can then upload to the Mojo V3 board? The Mojo V3 IDE is Verilog/Lucid friendly, both languages that I'm unfamiliar with. ...
0 votes
1 answer
5k views

Xilinx and VHDL · Why is this INOUT port undefined?

I'm using Xilinx ISE 13.1 x64 WebPack for a college assignment and I'm implementing a BCT for the sake of it. It's a Binary Coded Ternary. Like a BCD, but with only three digits (0, 1 and 2), to ...
0 votes
1 answer
320 views

AND gate between 2 std_logic_vector Isn't working correctly

I am trying to do a multiplication between 2 std_logic_vector have the following testbech: ...
0 votes
1 answer
6k views

Verilog: Writing to a Register Happens A Clock Cycle Late

I have a Verilog module acting as a register file (a slew of registers and some access ports) with a control signal coming from another module that controls when the write to one of the registers ...
1 vote
1 answer
255 views

Feedback signal consumed in VHDL

Hello I'm new with VHDL and I'm trying to implement a JK latch in VHDL using this RTL schema: Where the "latch_rs" is written as follow: ...
0 votes
1 answer
95 views

ISE Design suite

im extremely new to ISE design suite. In fact, im waiting for my windows PC to arrive so i can actually download it. But i wanted to ask, if i wrote some VHDL for a full adder logic circuit using 2 ...
1 vote
1 answer
132 views

Hardware design

Is there a way to actually build components on something like Xilinx ISE? i.e. MUX's, NAND's, AND gates. From what I've learned so far, one can only use VHDL to describe hardware and the compilation ...
2 votes
2 answers
10k views

How to assign physical pins of FPGA to Xilinx ISE Verilog modules?

Recently I started learning FPGA programming. I have the " Verilog QuickStart book" and downloaded Xilinx ISE Webpack v14 and watched a number of YouTube videos. At this moment I can build my circuits ...
0 votes
1 answer
2k views

different approaches to implementing program counter

I want to implement the following 32 bit program counter circuit: and this is my current verilog code: ...
1 vote
1 answer
154 views

Can I estimate what CPLD I need?

I'm planning to design a driver for VGA connectors, and for testing purposes I have an evaluation board of one CPLD. Concretely, the board is the Digilent's CoolRunner-II with the Xilinx's XC2C256 ...
0 votes
2 answers
3k views

Xilinx ISE Synthesis taking too long

I just finished to write a pretty complete design on ISE 14.7 targetting a Virtex7 device. The behavioural simulation (on Isim) takes a while but works perfectly. Therefore, I tried to move to the ...
1 vote
2 answers
74 views

shift whole design in Xillinx FPGA

How can I shift whole my design using ISE(FPGAditor,plan ahead,..) to new placement? I want to no change in routing but change only in placement. Thanks.
0 votes
1 answer
502 views

How do I synchronize my FPGA clock frequency with RS-232 transmission baud rate?

My FPGA Spartan 3E supports 50 Mhz clock via ocillators. Now I am using RS-232 cable connection to send output bits serially into my computer system using HyperTerminal/RealTerm. However the baud ...
1 vote
4 answers
1k views

Why Xilinx ISE doesn't infer Block Ram for this Array?

I have an Entity that has an array type as below : ...
0 votes
1 answer
423 views

How to probe into the internal signals and registers in FPGA without using JTAG?

I am using a Xilinx Spartan 3E FPGA kit in my academic project to synthesize a design comprising of a couple of 32 bit internal registers (eg few counters, shifters and some configuration registers) . ...
2 votes
3 answers
2k views

How to decrease used LUTs in FPGA Design?

I am working with Spartan 2 XC2S50 FPGA Chip on Xilinx board There is a problem on my design that increases number of used LUTs and this is the Utility Library : ...
0 votes
2 answers
5k views

this signal is connected to multiple drivers

...
1 vote
2 answers
756 views

Specify user constraints (UCF) for Xilinx Platform Studio custom peripheral

I've developed some IP using Xilinx ISE, and this required settings some multi-cycle path constraints in the UCF file: ...
17 votes
4 answers
9k views

List of Xilinx file suffixes (for ISE)

I asked Xilinx for such a list but they don't have a complete list. I wish to make sure all input files are in source control and all output files aren't. This is with 13.1-13.2 with ISE and PlanAhead ...
0 votes
2 answers
98 views

Test in VHDL of working frequency of a combinatorial component

I want to measure approximately working frequency of a combinatorial component. To do this, I use a my implementation of scan-chain to wrap my ripple carry adder. This is my code: ...
0 votes
1 answer
804 views

measurement of the number of LUTs-FF pairs and logic cells under most efficient and inefficient condition

Can anyone tell me how to get the number of the LUTs-ff pairs and logic cell used under most efficient and inefficient condition in my ISE Design Summary. I can see there are data of 'Number of Slice ...
0 votes
2 answers
104 views

How to view the optimized combinational function after HDL synthesis?

I am using Xilinx ISE v8.1, and VHDL language. I have a simple design implemented using behavioral approach, which gives me a set of combinatorial functions. I can see the schematic of the outcome ...
2 votes
1 answer
3k views

Driving a differential signal from FPGA

Disclaimer: I am not sure if this is the right place to ask this. I am trying to create an sdram controller for the numato mimas v2 fpga. The board contains an LPDDR module (either the Micron ...
1 vote
0 answers
544 views

Run XST from Windows Command Line

I am attempting to build a C++ program that performs all of the necessary steps generate a bit file using the ISE (14.7) tool chain. I generate the Command line Log File for my project and try to run ...
1 vote
1 answer
2k views

Signal is connected to following multiple drivers

This is the top module combining the Circular Shift Register, Multiplexer and Adder. ...
3 votes
1 answer
4k views

what is the difference between ISE and Vivado? [closed]

I am xilinx ISE and virtex 5 engineer. I found Vivado something when I ran across the internet. What is the difference between ISE and Vivado? Should I have to move to Vivado from ISE?
1 vote
1 answer
319 views

HDMI (TMDS) output with Digilent Atlys - examples do not compile with ISE 14.7

I'm working on XAPP495 on Digilent Atlys board with ISE 14.7 I want to run and test "vct_demo" coming with the XAP 495. I tried to compile it (with ISE 14.7) and failed because of the following ...
0 votes
1 answer
96 views

Is there support XC5VLX110 list in ISE Project setting?

I'm just trying to setup ISE envirmonent. But There's not XC5VLX110 in Device list at the Project Settings in ISE as below picture. What should I do for solving in this situation?
1 vote
2 answers
1k views

How to get a default UCF file of Xilinx Virtex-5 XC5VLX110?

How to get a default UCF file of the Xilinx Virtex-5 XC5VLX110? It doesn't seem to be anyhere. If I have to make it by myself, would you let me know how to ...
2 votes
1 answer
462 views

What is the purpose of a "BUF" in Xilinx ISE schematic?

I'm working on a schematic for a Xilinx CPLD using ISE. The schematic has a triangle symbol labeled "BUF" before every output, and also between some other nets. I can't really tell why some ...
1 vote
1 answer
1k views

Analyzing Xilinx Design Summary?

What are: "Number using O6 output only: 1,511", "Number using O5 output only: 37", "Number of Slice registers: 1,866", "Number of 36k BlockRAM used : 2" How ...
0 votes
2 answers
717 views

FPGA : program that doesn't work everytime

I'm making an ADC (in VHDL) for Spartan-3AN. Unfortunately I have to program my FPGA (program FPGA only) a random time before the programmable gain amplifier (Spartan 3AN User Guide page 73) works ...
2 votes
1 answer
181 views

Using the UCF constraints to assign one of two output ports

I've got a CPLD design which has one spare (Debug) pin. I'm trying to find out if it's possible to use the UCF file to select which output port (NET) that pin becomes. The problem is however, that I'...
2 votes
1 answer
2k views

Use Xilinx Primitive elements in Verilog inside ISE

I generated Verilog Post-Route simulation model of my original Verilog module, using Xilinx ISE. It will generate a Verilog module using LUT and fpga level primitives such as IBUF,X_LUT4, ... When ...
2 votes
0 answers
375 views

Exclude certain module from optimization in Xilinx ISE 14.4

I'm synthesizing a quite large design in Xilinx ISE 14.4 and would like to exclude certain modules from optimization. Is that possible? The design I'm synthesizing is the LEON3 microprocessor. I have ...