Questions tagged [timing-analysis]

Timing analysis is the process of determining whether a circuit will meet its timing requirements, given the characteristics of the components in it.

Filter by
Sorted by
Tagged with
1 vote
0 answers
22 views

Timing constraints for external ADC for clock generated by FPGA

I am using an FPGA to generate a 100 MHz clock to an external 8-bit ADC. The external ADC is the ADC08100 and the valid sample window is -1.5ns to 4.4ns in regards to the rising edge of the clock. I ...
Ricardo Falcão's user avatar
0 votes
0 answers
17 views

Calculating timing constraings for interfacing with sdram

I want to set the set_input_delay and set_output_delay constraints for my design but I'm having trouble to find the values to calculate them. My understanding so far: To calculate the set_output_delay ...
TimSch's user avatar
  • 201
0 votes
0 answers
30 views

Timings constraints when interfacing an external ADC with an FPGA

I am currently using a Trion T8 FPGA to receive and store data from an external ADC, the ADC08100. The FPGA uses the internal PLL to generate a 100 MHz (10 ns period) clock signal, and its logic runs ...
Ricardo Falcão's user avatar
0 votes
0 answers
85 views

How to write I2C protocol timing constraints?

How do you normally write clock timing cons for I2C? Assuming 1 SDA line, 1 SCL line, 1 APB reference CLK called PCLK. Q. What are the relation of PCLK to SCL in this protocol? Does it matter if it is ...
vaibhav sharma's user avatar
0 votes
3 answers
62 views

Pin inductance vs pin capacitance in determining rise and fall times

I am a beginner in digital electronics and VLSI. I know that pin capacitance is an important parameter in determining the rise and fall times of logic gates and ICs. This is supported by my intuition ...
Jishnu Das's user avatar
0 votes
2 answers
81 views

How to minimize slack for mod in numerical package?

Here is the snippet of the code in VHDL. I mean this IEEE.numeric_std.all ...
kile's user avatar
  • 293
4 votes
1 answer
94 views

Debuging verilog SDRAM controller

I've been working on a project that involves the creation of a SDRAM Controller in verilog for an Altera DE2 prototyping board. Despite reading the documentation for the memory chip on the board, ...
bieux's user avatar
  • 51
0 votes
0 answers
205 views

How to properly set timing constraint for SPI slave interface

I have designed a slave SPI interface using VHDL and synthesized it using DC. According to the datasheet attached below, how can I set the timing constraint related to the SLAVE SPI. I already have ...
Ahmad Shabani's user avatar
1 vote
1 answer
194 views

Timing constrain the ADC to FPGA data path

An ADC uses 12 single ended CMOS signals to transfer data to an FPGA. Both of them share 120 MHz source on the PCB. However, the PCB delay from the oscillator to the clock pin of each component is ...
gyuunyuu's user avatar
  • 1,933
0 votes
1 answer
114 views

Asynchronous read memory causing hold violations in an FPGA

I have a pipelined CPU design in Verilog that uses a memory block whose reads are asynchronous. I have usually had separated memory for instructions and data and everything worked fine, but recently I ...
Martel's user avatar
  • 1,215
6 votes
1 answer
347 views

Vivado constraints wizard suggests a lot of nonsense generated clocks

I'm trying to apply timing analysis to a RISC-V MCU I have designed in SystemVerilog, in Vivado, for a Basys 3 board. My design contains several generated clocks, which are made by dividing the system ...
Martel's user avatar
  • 1,215
5 votes
3 answers
784 views

How to write to a Hitachi HM628128A SRAM?

I'm working with a Hitachi HM628128A SRAM chip. According with the datasheet, the function table is How do I write data in the chip? Must I execute "write cycle (1)" and then "write ...
Candid Moe's user avatar
1 vote
1 answer
103 views

Basys 3 FPGA 7 segment display output delay

I have an FPGA design for my Basys 3 that drives the board's 7 segment display to display some numbers. I'm using Vivado. When I do the timing analysis, the constraint wizard asks me to set the output ...
Martel's user avatar
  • 1,215
2 votes
2 answers
267 views

Don't understand why this clock interaction is unsafe

I'm starting with static timing analysis. I have a very simple Verilog design that has clock interaction problems, and I don't see why. It just has a counter whose LSB is sent to an LED. Could I get ...
Martel's user avatar
  • 1,215
0 votes
3 answers
420 views

Hold violation in clock divider in an FPGA

I have a Verilog design for a Basys 3 in which I display a number increasing by 1 each half second in a 7 segment display. I'm running the timing analysis in Vivado, and I get a hold time violation ...
Martel's user avatar
  • 1,215
2 votes
1 answer
127 views

In an FPGA, is the input port delay related to the output port delay in the previous block?

Consider the following circuit in an FPGA: Let's say the output port O1 is constrained to have a min/max delay of 1 and 3 ns respectively. That means the circuit will be optimized for allowing a max. ...
Martel's user avatar
  • 1,215
0 votes
1 answer
318 views

Pin vs Port terminology in SDC

In SDC (Synopsys Design Constraints), set_driving_cell is said to be used to model the drive resistance of the cell driving the input port. I'm confused by the word ...
hontou_'s user avatar
  • 1,044
4 votes
2 answers
404 views

Why don't 2 flip-flop synchronizers have a reset?

This is similar to this question, asking if a reset is needed in a 2 flip-flop synchronizer. The answer to that question was: "no, not necessarily". So, my question is: Why do almost all of ...
Harry's user avatar
  • 270
2 votes
2 answers
276 views

Why is a reset with asynchronous assert safe?

As far as I understand, a reset with asynchronous assert, synchronous de-assert is considered absolutely safe. I understand that this prevents metastability at the output of a flip-flop using that ...
Harry's user avatar
  • 270
2 votes
1 answer
276 views

FPGA-centric timing constraints

I have designed an MMC slave on an FPGA that will interface with many different MMC masters with many different trace lengths, etc. Most of the timing constraint documentation on how to constrain ...
huskerwr38's user avatar
0 votes
1 answer
182 views

CPU Dynamic voltage frequency scaling - does reducing both frequency and voltage always imply reduction in current?

Referring to the equation for dynamic power P=C·V2·f, is it always assumed that reducing voltage and frequency means a reduction in power and therefore current? Example: let's assume for this argument ...
user318904's user avatar
2 votes
1 answer
432 views

Timing Async Reset with Sync Deassert

I've been reading about FPGA resets, particularly the links in benefits of removing reset in an FPGA design and the article http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_Resets.pdf. For my ...
FlipFlopper's user avatar
0 votes
1 answer
128 views

Does synthesis/ PnR tool need create_generated_clock constraint for clock MUX output?

Does Synthesis/PnR tool need a create_generated_clock constraint for clock MUX output ? If yes, why does the tool need such constraint? My previous understanding is that we only need ...
naserco's user avatar
  • 11
-2 votes
1 answer
468 views

STA timing closure for asynchronous FIFO

I have implemented an asynchronous FIFO However, I have setup timing violation when read_clk is having phase shift of 270 degrees , and write_clk is having phase shift of 90 degrees. Both read_clk and ...
kevin's user avatar
  • 497
2 votes
1 answer
466 views

create_generated_clock quartus for derived clock

I am working in a design that creates a 1Hz clock from 20MHz PLL out. For that purpose, I have created a counter that switches its out when its value arrives to 10 000 000. That 1Hz clock is used as ...
mugurumov's user avatar
0 votes
1 answer
144 views

Carry bypass adder delay higher than expected with timing analysis

Good evening all! I am facing an unexpected behaviour of the timing analysis of my bypass (or skip) carry adder. In particular, the implementation of the adder looks correct to me, the Modelsim ...
Revo's user avatar
  • 1
0 votes
2 answers
118 views

Why does metastability occur if data changes during setup and hold time?

I understand that data needs to be valid during the setup and hold windows to prevent an unknown output or metastable condition. But what I don't understand is, why does this happen? Why is it that ...
penguin99's user avatar
  • 829
4 votes
1 answer
542 views

How to properly implement an n-FF synchronizer in Lattice FPGAs?

Unlike Xilinx which provides their users with a set of convenient xpm_cdc_* modules, Lattice does not seem to have “the standard” way for clock domain crossing. So ...
firegurafiku's user avatar
2 votes
1 answer
513 views

How to constrain a source-synchronous FPGA input?

I have a source-synchronous input to my FPGA (an Intel Cyclone 10 GX 10CX085), coming from an external chip whose datasheet gives the following information: fmax = 300 MHz (single data rate) tsetup = ...
Harry's user avatar
  • 270
2 votes
2 answers
481 views

Variation in Tphl of MOS nand gate due to input patterns

I was studying the variation of propagation delays in CMOS NAND gate from Jan.M.Rabey Digital IC Design book. It has this table given for Tplh and Tphl for different input patterns applied at inputs A ...
Sparsh's user avatar
  • 168
1 vote
1 answer
80 views

Frequency of a sequential circuit

I'm reading the book Digital Design and Computer Architecture. I came across this exercise: From what I've learned so far, the frequency of a circuit is the reciprocal of the clock period. Since we ...
G. Ajello's user avatar
2 votes
1 answer
954 views

How to calculate the number of required flip-flop stages needed for clock-domain crossing?

In a given scenario where I have two clock domains driven by a 200MHz and a 30 MHz external independent clocks, what would be the best way to calculate the number of flip-flop stages needed for proper ...
nanoeng's user avatar
  • 171
0 votes
1 answer
112 views

What's the criterion to move from only having CDC for the control lines to include the data buses?

I've noticed that in most designs synchronized signals crossing clock domains are implemented for control signals. I'm, however, wondering what the criteria is to add synchronization to the data bus ...
nanoeng's user avatar
  • 171
3 votes
2 answers
302 views

Constraining combinatorial path delays in Intel Cyclone-V FPGA

I am working on a design with a Cyclone-V FPGA. I have a PLL that generates 4 clocks of equal frequency but with 90 degree phase shift from eachother. 4 DFFs running on each of these respective ...
cplusruss's user avatar
  • 120
0 votes
1 answer
329 views

does a 2-flip-flop synchronizer for clock domain crossing need a clear (reset) input signal?

In my current FPGA design, I have a fast clock that has to travel to multiple locations inside the device. Among those locations, I have a set of 2-flip-flop synchronizers for clock-domain crossing ...
nanoeng's user avatar
  • 171
0 votes
0 answers
159 views

Timing Diagram - HyperBus - HyperFlash - HyperRAM - RWDS - CLK - DQ

I'm trying to design an interface between an application processor and a HyperFlash memory from Cypress. Therefore, I'm trying to understand the timing diagram for RWDS and DQs relative to the CLK ...
Berkaj's user avatar
  • 1
0 votes
2 answers
211 views

Is "transition time" the same as "contamination delay"? If not, what is equivalent to \$t_{cd}\$ in the datasheets?

In the CD4013B datasheet the following timing table (excluding clock related values) can be found: According to the answer to this question, the contamination delay would be the minimum propagation ...
devnull's user avatar
  • 8,457
0 votes
2 answers
162 views

Why does my counter appear to work if it fails timing closure?

I configured my FPGA (Artix-7, -1 speed grade) to run a clock at higher speeds than it is designed for (464MHz). Naturally, I received the below egregious timing errors when implementing a 12-bit ...
Andrew's user avatar
  • 367
0 votes
3 answers
196 views

What is the difference between "2 synchronize" and "metastability"?

As far as I know, 2 synchronize used to be used in in asynchronous FIFO for preventing metastability in multi clock domain. as the below, cross clock domain databus But I came across about ...
Carter's user avatar
  • 581
0 votes
1 answer
192 views

Constraining simple design

I am stydying the Quartus II + TimeQuest Analyzer. The documentation is abreast, the examples are not that of, and explanations for beginners are scarce. Here's the simple code: ...
FPGA lover's user avatar
0 votes
2 answers
838 views

Metastability in 3 or 2 flop synchronizer if input is valid for at least 2 clocks

In this image: Figure 1: metastability in 2 or 3 flop synchronizer If the metastability of first flop doesn't get resolved in 4th clock, is it possible that it may get resolved to '0' in 5th clock? ...
Anurag's user avatar
  • 1
3 votes
1 answer
1k views

How to correctly constrain a clock network with lots of mux branches?

Let me simplify a common clock network structure used in my company: Firstly, there're multiple true clock sources (PLLs, external input, or RC OSCs). Right at the beginning when these sources are &...
Light's user avatar
  • 341
1 vote
2 answers
568 views

Do more logic gates in series mean more slowing of the output result?

I heard that every logic gate has a propagation delay in nano scale. As the digital signal propagates through the logic gates, the signal output result will have a delay. Even though the delay is so ...
Muhammad Ikhwan Perwira's user avatar
4 votes
3 answers
2k views

Propagation and contamination delays with different delays for rising and falling edges

In the Digital Design and Computer Architecture by David Harris, Sarah Harris the authors explain what are propagation delay and contamination delay in the following way: The propagation delay \$t_{...
Ilya Loskutov's user avatar
0 votes
1 answer
367 views

Is there any reason why the Design Compiler does not optimize a path which it can optimize in a submodule

I have an issue in timing slack got from Design Compiler (DC.) One path Reg2reg in my submodule just was fine, but that path is found to be longer in the top module. It seems that the path was not ...
Slack's user avatar
  • 17
2 votes
1 answer
252 views

Different output delays for internal to output and input to output path

I am trying to get correct timing constraints for a ULPI interface, where the PHY is an external chip and the link is an FPGA. The clock is generated by the PHY. The timing constraints from internal ...
Tom Verbeure's user avatar
0 votes
4 answers
203 views

Is metastability not a concern in CDC if the signal in source clock is at least 3x wide as that of destination clock's period?

There are so much hype and concern over metastability in cross clock domain. If the goal as an ASIC/FPGA designer is to ensure that the signal in source clock get propagated to destination clock even ...
Dan Man's user avatar
2 votes
1 answer
790 views

How to calculate overall average propagation delay for digital circuit given

Using the circuit shown with 3 inputs {A,B,C}, and outputs {F1,F2,F3}, and using the average propagation shown below for each gate: AND -> tpd = 9ns, XOR -> tpd = 8ns, OR -> tpd = 10ns ...
Sandy's user avatar
  • 21
0 votes
1 answer
1k views

Why Tcd and Tpd is different in combinational ckt?

In book Harris & Harris , there is a statement that Contamination delay \$T_{cd}\$, and Propagation delay \$T_{pd}\$ are different due to following reasons: Different rising and falling delays, ...
Nitish Sameer's user avatar
0 votes
0 answers
77 views

Counting no. of clock cycles

This question was asked in GATE 2021. Here I am finding it difficult to "manage" propagation delay of XOR gate. Solving it using timing diagram takes a lot of time and rough space. Is there ...
MAJID AHMAD's user avatar